Дешифраторы кмоп (кмдп) серии. Способы увеличения числа выходов дешифраторов Вопросы для подготовки к отчету

3. Функциональная схема, условное графическое обозначение и таблица истинности полного дешифратора на 3 входа.

4. Линейные дешифраторы: переключательная функция, УГО и схема.

5. Пирамидальные дешифраторы: переключательная функция, УГО и схема.

6. Многоступенчатые дешифраторы прямоугольного типа: переключательная функция, УГО и схема.

7. Тактируемые и дешифраторы интегрального исполнения.

Дешифратор - это комбинационный операционный узел, преобразующий входное слово в сигнал на одном из его выходов.

Таким образом, дешифратором называется узел, в котором каждой комбинации входных сигналов соответствует наличие сигнала на одном из выходов.

На рис.4 представлена функциональная схема дешифратора, имеющая n входов и 2 n -1 выходов.

Методика синтеза дешифраторов

Условия работы дешифратора на два входа можно представить таблицей истинности (табл.3). Количество выходов такого де­шифратора m = 2 2 = 4.

Таблица 3

Таблица истинности дешифратора 2×4

Входы

Выходы

Переключательные функции для выходов дешифратора соглас­но этой таблице истинности запишутся следующим образом:

Преобразуем выражения (4) для реализации в базисе И-НЕ:

Условные изображения дешифратора, применяемые при построении функциональных схем, показаны на рис.7, где а - общее обозначение дешифратора; б - обозначение матричного де­шифратора. Входы дешифратора помечаются десятичными числа­ми, изображающими двоичные веса, выходы - десятичными изо­бражениями соответствующих кодовых комбинаций.

Обозначение дешифраторов: 155ИД 1, 555ИД 6 и т.д.

3. Анализ работы шифраторов

Назначение и принцип действия шифраторов.

Рассмотрение вопроса осуществляется путем опроса обучаемых с мест и у доски в соответствии со следующим планом:

· Назначение

· Таблица истинности

· Способы синтеза схем

· Примеры простейших схем

Вопросы рассматриваемые с обучаемыми

Шифраторы:

1. Назначение, логика функционирования и классификация шифраторов.

2. Функциональная схема, условное графическое обозначение и таблица истинности шифратора на n входов.

3. Функциональная схема, условное графическое обозначение и таблица истинности шифратора на 4 входа.

4. Синтез шифраторов в различных базисах.

5. Принципы построения приоритетных шифраторов.

Шифратор представляет собой функциональный узел цифро­вой ЭВМ и предназначен для преобразования унитарного кода (код, в котором лишь одна переменная принимает единичное зна­чение) в некоторый (двоичный) позиционный код.

Иными словами, шифратор выполняет функции, обратные функциям дешифратора.

Полный шифратор имеет 2 m входов и m выходов. При этом, если подан входной сигнал на одну из входных цепей шифратора, то на его выходах формируется слово, соответствующее номеру возбужденной цепи.

Синтез равнозначного шифратора

Пусть m=2, тогда число входов шифратора равно четырем. Таблица функционирования такого шифратора бу­дет иметь следующий вид (табл.4).

Таблица 4

Таблица состояний шифратора 4×2

Входы

Выходы

X 0

X 1

X 2

X 3

Y 0

Y 1

Рис. 8б. Шифратор на 4 входов на базе элементов И-НЕ

Синтез приоритетного шифратора

Рассмотрим принцип функционирования шифратора «4× 2».

Таблица истинности для данного шифратора представлена в табл. 5. Из таблицы видно, что при построении приоритетного шифратора используются 1,2.4 и 8 наборы, для остальных наборов функция приобретает безразличное значение – Ф.

ШИФРАТОРЫ/ДЕШИФРАТОРЫ

Шифраторы.

Шифратор, (называемый так же кодером) - устройство, осуществляющее преобразование десятичных чисел в двоичную систему счисления. Пусть в шифраторе имеется m входов, последовательно пронумерованных десятичными числами (0, 1, 2, 3, ..., m - 1), и n выходов. Подача сигнала на один из входов приводит к появлению на выходах n- разрядного двоичного числа, соответствующего номеру возбужденного входа.

рис 5.17

рис 5.18

Очевидно, трудно строить шифраторы с очень большим числом входов m, поэтому они используются для преобразования в двоичную систему счисления относительно небольших десятичных чисел. Преобразование больших десятичных чисел осуществляется методами, приведенными в справочнике "Системы счисления"

Шифраторы широко используются в разнообразных устройствах ввода информации в цифровые системы. Такие устройства могут снабжаться клавиатурой, каждая клавиша которой связана с определенным входом шифратора. При нажатии выбранной клавиши подается сигнал на определенный вход шифратора, и на его выходе возникает двоичное число, соответствующее выгравированному на клавише символу.

Таблица 5.5

Десятичное
число

Двоичный код 8421

x 8

x 4

x 2

x 1

Таблица 5.6

Входной код 8421

Номер
выхода

x 8

x 4

x 2

x 1

На рис. 5.17 приведено символическое изображение шифратора, преобразующего десятичные числа 0, 1, 2, ..., 9 в двоичное представление в коде 8421. Символ CD образован из букв, входящих в английское слово CODER. Слева показано 10 входов, обозначенных десятичными цифрами 0, 1, ..., 9. Справа показаны выходы шифратора: цифрами 1, 2, 4, 8 обозначены весовые коэффициенты двоичных разрядов, соответствующих отдельным выходам.

Из приведенного в табл. 5.5 соответствия десятичного и двоичного кодов следует, что переменная x 1 на выходной шине 1 имеет уровень лог. 1, если имеет этот уровень одна из входных переменных y 1 , у 3 , у 5 , у 7 , у 9 . Следовательно, x 1 = y l / y 3 / y 5 / y 7 / y 9 .

Для остальных выходов x 2 = y 2 / y 3 / y 6 / y 7 ; x 4 = y 4 / y 5 / y 6 / y 7 ; x 8 = y 8 / y 9 .

Этой системе логических выражений соответствует схема на рис. 5.18,а. На рис. 5.18,б изображена схема шифратора на элементах ИЛИ-НЕ.

Шифратор построен в соответствии со следующими выражениями:

При этом шифратор имеет инверсные выходы.


При выполнении шифратора на элементах И-НЕ следует пользоваться следующей системой логических выражений:

В этом случае предусмотрена подача на входы инверсных значений, т. е. для получения на выходе двоичного представления некоторой десятичной цифры необходимо на соответствующий вход подать лог. 0, а на остальные входы - лог.1. Схема шифратора, выполненная на элементах И-НЕ, приведена на рис. 5.18,в.

Изложенным способом могут быть построены шифраторы, выполняющие преобразование десятичных чисел в двоичное представление с использованием любого двоичного кода,

Дешифраторы.

Для обратного преобразования двоичных чисел в небольшие по значению десятичные числа используются дешифраторы (называемые также декодерами). Входы дешифратора предназначаются для подачи двоичных чисел, выходы последовательно нумеруются десятичными числами. При подаче на входы двоичного числа появляется сигнал на определенном выходе, номер которого соответствует входному числу.

Дешифраторы имеют широкое применение. В частности, они используются в устройствах, печатающих на бумаге выводимые из цифрового устройства числа или текст. В таких устройствах двоичное число, поступая на вход дешифратора, вызывает появление сигнала на определенном его выходе. С помощью этого сигнала производится печать символа, соответствующего входному двоичному числу.

На рис. 5.19,а приведено символическое изображение дешифратора. Символ DС образован из букв английского слова DECODER. Слева показаны входы, на которых отмечены весовые коэффициенты двоичного кода. Справа - выходы, пронумерованные десятичными числами, соответствующими отдельным комбинациям входного двоичного кода. На каждом выходе образуется уровень лог. 1 при строго определенной комбинации входного кода.

Дешифратор может иметь парафазные входы для подачи наряду с входными переменными их инверсий, как показано на рис. 5.19,б.

По способу построения различают линейные и прямоугольные дешифраторы.

Линейный дешифратор.

Рассмотрим построение дешифратора, осуществляющего преобразование, заданное табл. 5.6.


(5.22)

(5.23)

Значения выходных переменных определяются следующими логическими выражениями:

В линейном дешифраторе выходные переменные формируются по (5.22) либо (5.23). При выполнении дешифратора на элементах И-НЕ пользуются (5.23), получая инверсии выходных функций. В этом случае каждой комбинации входного кода будет соответствовать уровень лог. 0 на строго определенном выходе, на остальных выходах устанавливается уровень лог. 1. На рис. 5.20 показана структура дешифратора, построенного на элементах И-НЕ, и его изображение в схемах. Структура имеет особенности, характерные для дешифраторов в интегральном исполнении:

для уменьшения числа входов формирование инверсий входных переменных осуществляется в самом дешифраторе;

рис 5.20

рис 5.21

подключенные непосредственно ко входам дополнительные инверторы уменьшают нагрузку со стороны дешифратора на его входные цепи.

Дешифратор с 16 выходами для дешифрирования всех возможных комбинаций четырехразрядного двоичного кода 8421 можно построить из двух рассмотренных дешифраторов с 10 выходами. На рис. 5.21 показана структура такого дешифратора. В каждом из дешифраторов используется по 8 выходов, которые и образуют требуемые 16 выходов (y 0 , y 1 , ..., y 15).


рис 5.22

Прямоугольный дешифратор.

Рассмотрим принцип построения прямоугольного дешифратора на примере дешифратора с 4 входами и 16 выходами.

Разобьем входные переменные x 8 , x 4 , x 2 , x 1 на две группы по две переменные в каждой: x 8 , x 4 , и x 2 , x 1 . Каждую пару переменных используем в качестве входных переменных отдельного линейного дешифратора на четыре выхода, как показано на рис. 5.22,а. Выходные переменные линейных дешифраторов определяются следующими логическими выражениями:

Эти дешифраторы выполняют функции первой ступени дешифратора.

Выходные переменные y 0 , y 1 , ..., y 15 прямоугольного дешифратора можно представить логическими выражениями, используя в них в качестве аргументов выходные переменные y" 0 , ..., y" 3 и y"" 0 , ..., y"" 3 линейных дешифраторов:

Эти логические операции выполняются в отдельном дешифраторе второй ступени, называемом матричным и состоящим из двух - входовых элементов. На рис. 5.22,б показано условное изображение матричного дешифратора, где помеченные десятичными числами две группы входов служат для подключения к выходам двух предварительных ступеней дешифрации. На рис. 5.22,в представлена структура прямоугольного дешифратора с использованием символов линейного и матричного дешифраторов.

Могут быть построены прямоугольные дешифраторы с числом ступеней, большим двух.

Применение прямоугольного дешифратора может оказаться более выгодным, чем использование линейного дешифратора, в тех случаях, когда велико число входов и нежелательно использование требующихся для построения линейного дешифратора элементов с большим числом входов. Однако прохождение сигналов последовательно через несколько ступеней в прямоугольном дешифраторе приводит к большей задержке распространения сигнала в нем.

Таблица 5.7

Код 8421

Код 2421

x 4

x 3

x 2

x 1

y 4

y 3

y 2

y 1

Преобразователи кодов

В цифровых устройствах часто возникает необходимость преобразования числовой информации из одной двоичной системы в другую (из одного двоичного кода в другой). Примером такого преобразования может служить преобразование чисел из двоичного кода 8421, в котором выполняются арифметические операции, в двоичный код 2 из 5 для передачи по линии связи. Эта задача выполняется устройствами, называемыми преобразователями кодов. Для преобразования кодов можно пользоваться двумя методами:

основанным на преобразовании исходного двоичного кода в десятичный и последующем преобразовании десятичного представления в требуемый двоичный код;

основанным на использовании логического устройства комбинационного типа, непосредственно реализующего данное преобразование.

Первый метод структурно реализуется соединением дешифратора и шифратора и удобен в случаях, когда можно использовать стандартные дешифраторы и шифраторы в интегральном исполнении.

Рассмотрим подробнее второй метод на конкретных примерах преобразования двоичных кодов.

Преобразование кода 8421 в код 2421.

Обозначим переменные, соответствующие отдельным, разрядам кода 8421, x 4 , x 3 , x 2 , x 1 , то же для кода 2421 y 4 , y 3 , y 2 , y 1 . В табл. 5.7 приведено соответствие комбинаций обоих кодов.

Каждая из переменных y 4 , y 3 , y 2 , y 1 может рассматриваться функцией аргументов x 4 , x 3 , x 2 , x 1 и, следовательно, может быть представлена через эти аргументы соответствующим логическим выражением. Для получения указанных логических выражений представим переменные y 4 , y 3 , y 2 , y 1 таблицами истинности в форме таблицы Вейча (рис 5.24.1).


рис 5.23

рис 5.24


рис 5.24.1

Получим минимальную форму логических выражений, представленных через операции И, ИЛИ, НЕ и через операцию И-НЕ:


На рис. 5.23 приведена логическая структура преобразователя кодов, построенная на элементах И-НЕ с использованием полученных логических выражений.

Преобразование кода 2421 в код 8421.

Для реализации данного преобразования (обратного по отношению к рассмотренному выше) требуется получить логические выражения для переменных x 4 , x 3 , x 2 , x 1 , используя в качестве аргументов переменные y 4 , y 3 , y 2 , y 1.


рис 5.24.2

Таблицы Вейча для переменных x 4 , x 3 , x 2 , x 1 представлены на рис. 5.24.2. Логические выражения для переменных x 4 , x 3 , x 2 , x 1:

Логическая структура преобразователя приведена на рис. 5.24.

Преобразователь для цифровой индикации.

Один из способов цифровой индикации состоит в следующем.

Таблица 5.10

Десятичная
цифра

Двоичный код 8421

Состояние элементов (z 1 , ..., z 7) и
значение управляющих
сигналов (y 1 , ..., y 7)

x 4

x 3

x 2

x 1

1

2

3

4

5

6

7

y 1

y 2

y 3

y 4

y 5

y 6

y 7

Имеется семь элементов, расположенных так, как показано на рис. 5.25,а. Каждый элемент может светиться либо не светиться, в зависимости от значения соответствующей логической переменной, управляющей его свечением. Вызывая свечение элементов в определенных комбинациях, можно получить изображение десятичных цифр 0, 1, 9 (рис. 5.25.б).

Десятичные цифры, отображение которых необходимо вызвать, задаются обычно в двоичном коде. При этом возникает задача формирования логических переменных y 1 , y 2 , ..., y 7 для управления отдельными элементами в устройстве индикации. Таблица истинности для этих переменных представлена в табл.5.10.

рис 5.25

При построении таблицы были приняты следующие условия: если элемент индикатора светится, то это означает, что он находится в состоянии лог. 1, если погашен, то он находится в состоянии лог. 0; управление элементом осуществляется таким образом, что высокий уровень лог. 1 на некотором входе индикатора вызывает гашение соответствующего элемента (т. е. чтобы i-й элемент был погашен и z i = 0, необходимо подать на 1-й вход индикатора управляющий сигнал y i = l). Таким образом, y i = i . Например, для высвечивания цифры 0 необходимо погасить 7-й элемент (z 7 =0), оставив остальные элементы в состоянии свечения; следовательно, при этом управляющий сигнал y 7 = l, остальные управляющие сигналы y l , ..., y 6 должны иметь уровень лог. 0.

рис 5.26

Формирование управляющих сигналов производится логическим устройством, для синтеза которого на рис. 5.26 построены таблицы истинности в форме таблиц Вейча отдельно для каждой переменной y l , ..., y 7 . Синтезируемое устройство является устройством с несколькими выходами и для получения минимальной схемы необходимо в таблицах Вейча построить минимальное число областей, обеспечивающих покрытие клеток, содержащих 1, во всех семи таблицах. Построение этих областей имеет следующие особенности. В таблицах переменных у 5 и y 6 использованы области 1 и V, которые используются в таблицах других переменных. Если вместо этих областей в таблицах переменных у 5 и y 6 построить области с большим охватом клеток, это вызовет увеличение общего количества областей и, следовательно, увеличится количество логических элементов, требуемых для формирования соответствующих им логических выражений. Выделенным областям соответствуют следующие логические выражения:


Теперь нетрудно записать логические выражения для выходных величин y l , ..., y 7:


Построенная в соответствии с этими выражениями схема преобразователя приведена на рис. 5.25,в.

Таблица 5.12

Тип логического элемента

Число элементов в корпусе микросхемы

Число элементов в преобразователе

Число корпусов микросхем

Инверторы

Двухвходовые элементы И-НЕ

Трехвходовые элементы И-НЕ

Четырехвходовые элементы И-НЕ

Общее количество корпусов микросхем

5 5 / 12

Определим количество микросхем, необходимых для построения преобразователя. При этом следует учитывать, что в корпусе выпускаемых промышленностью микросхем может содержаться несколько логических элементов. В табл. 12 приведен расчет количества корпусов микросхем.

Дешифратор (декодер) – это комбинационное устройство с несколькими входами и выходами, у которого определенным комбинациям входных сигналов соответствует активное состояние одного из выходов. Дешифраторы преобразуют двоичный или двоично-десятичный код в унитарный код. Если декодер имеет n входов, m выходов и использует все возможные наборы входных переменных, то m = 2 n . Такой декодер называют полным. Если используется лишь часть наборов, то такой декодер называют неполным. Дешифраторы используют, когда нужно обращаться к различным цифровым устройствам, и при этом номер устройства (его адрес) представлен двоичным кодом. Входы декодера (адресные входы) часто номеруют не порядковыми номерами, а в соответствии с весами двоичных разрядов, т. е. не 1, 2, 3, 4, а 1, 2, 4, 8.

Формально описать работу дешифратора можно, задав список функций, отрабатываемых каждым из его выходов Y i . Так, для дешифратора 3–8:

Y o = ;Y 1 =
;Y 2 =
; Y 3 =
; ... Y 7 =a 4 a 2 a 1 .

Число входов и выходов декодера указывают следующим образом: декодер 3–8 (читается “три в восемь”); 4–16; 4–10 (это неполный дешифратор). Реализация указанных восьми выражений с помощью восьми трехвходовых элементов И (рис. 10.7) дает наиболее простой по структуре дешифратор, называемый линейным.

а б

Рис. 10.7. Дешифратор 3-8: а – условное обозначение; б – структура

Основной объем его оборудования в общем случае m n -входовых элементов И. Кроме того, к оборудованию обычно относят n инверторов входных переменных и n буферных входных усилителей, сводящих к единице кратность нагрузки источника сигнала.

Дешифраторы часто имеют разрешающий вход EI . При EI = 1 дешифратор работает как обычно, а при EI = 0 на всех выходах устанавливаются не активные уровни.

Вход EI воздействует на все элементы И. В схеме (рис. 10.8) воздействие оказывается через прямой и инверсный входы одного из разрядов входного кода (через дополнительные элементы И). При этом число входов элементов И не изменяется, но в работу дешифратора вносится дополнительная задержка. В схеме (рис. 10.9) задержка не вносится, но здесь элементы И имеют большее число входов.

Разрешающий вход EI часто выполняется инверсным. Дешифратор, имеющий разрешающий вход, иногда называют декодер–демультиплексор и вместо обозначения DC используют обозначение DX . Это связано с тем, что вход EI иногда используют в качестве информационного (как в демультиплексорах).

Рис. 10.8. Разрешение через прямой и Рис. 10.9. Разрешение через

инверсный входы одного из разрядов дополнительные входы элементов И

Вход EI используется при построении древовидных (каскадных) схем дешифраторов с целью расширения адресного пространства. При этом все адресное пространство разбивается на группы. Старшие разряды адреса подаются на дешифратор старших разрядов, выходы которого по входам EI управляют дешифраторами второго каскада. На рис. 10.10 представлена схема двухкаскадного дешифратора 5–32 (пять в тридцать два).

Рис. 10.10. Двухкаскадный дешифратор 5–32

Два старших разряда адреса а 16 и а 8 расшифровываются дешифратором 2–4 DC 4, который по входам Е I управляет четырьмя дешифраторами второго каскада. Младшие разряды адреса а 4 , а 2 , а 1 поступают на все дешифраторы второго каскада, но открытым по входу EI оказывается лишь один из них. Ему и будет принадлежать единственный из всех 32 возбужденный выход. Например, входной код 01111 у дешифратора DC 4 делает активным выход 1. Этим сигналом и откроется дешифратор второй ступени DC 1, а DC 0, DC 2, DC 3 закрыты. У дешифратора DC 1 сигнал появится на выводе 7, что соответствует 15 выходу всего дешифратора. Такой принцип используется при построении дешифратора на много выходов из микросхем дешифраторов с меньшим числом выходов.

В рассмотренном случае 5-разрядный адрес был разбит на две группы в 2 и 3 разряда. Это и определило структуру дешифратора. В общем случае многоразрядный адрес можно разбить на группы различными способами и каждому будет соответствовать свой вариант схемы. Варианты будут различаться задержкой и аппаратными затратами. Таким образом, можно ставить задачу выбора оптимальной, в заданной серии элементов, структуры.

На рис. 10.11 показан двухкаскадный дешифратор 4–16, второй каскад которого собран по схеме прямоугольного дешифратора. Разряды адреса разбиты на две группы, каждая из которых независимо от другой расшифровывается своим дешифратором первого каскада DC 0 и DC 1. При любой комбинации значений входных переменных оказываются выбранными одна строка и один столбец сетки, в узлах которой расположены элементы И второй ступени (второго каскада). В результате каждый входной набор возбуждает выход единственного соответствующего ему элемента И. Такую сетку из элементов И называют прямоугольным или матричным дешифратором.

Рис. 10.11. Матричный дешифратор

Делить разряды адреса между DC 1 и DC 2 нужно по возможности поровну. Чем ближе прямоугольник второго каскада к квадрату, тем, при том же числе выходных элементов И, меньше сумма его строк и столбцов, т. е. меньше число выходов дешифраторов первого каскада. Из этого следует, что использование во втором каскаде квадратной матрицы, позволяет применить в первом каскаде наиболее простые дешифраторы и тем самым минимизировать общую задержку в работе всего дешифратора.

В качестве входа EI (Е ) всего двухкаскадного дешифратора удобно использовать разрешающий вход только одного из дешифраторов первого каскада. При этом запираются или все строки или все столбцы.

Следует отметить, что при большом числе выходов (сотни и более) прямоугольный дешифратор самый экономичный по оборудованию, чем и объясняется его применение в БИС памяти. При малом числе выходов наиболее экономичным является линейный дешифратор.

Дешифраторы, выпускаемые в виде микросхем, имеют буквенное обозначение ИД, например, 155ИД3, 155ИД4. В сериях ТТЛ дешифраторы имеют обычно инверсные выходы, т. е. активным является низкий уровень. В КМОП-сериях выходные сигналы чаще имеют активный высокий уровень.

Часто в микросхемах дешифраторов делают несколько разрешающих входов, а разрешающей комбинацией является их конъюнкция. При этом удобно наращивать дешифраторы, используя каскадный принцип и строя первый каскад дешифрации не на отдельном специальном дешифраторе, а собирая его из конъюнкторов разрешающих входов. На рис. 10.12 представлен дешифратор 5–32 из 4 дешифраторов 3–8. Каждая микросхема имеет два инверсных разрешающих входа. Символ & над символом Е I обозначает, что разрешение существует лишь при совпадении всех сигналов группы входов, помеченных знаком &. На рисунке символы инверсии указывают на совпадение двух низких уровней на входах разрешения.

Дешифратор первого каскада распределен по конъюнкторам 4 микросхем. Такое решение – иметь несколько разрешающих входов, связанных операцией И, чтобы собирать на этих входах фрагменты дешифраторов, вообще типично для современных микросхем .

Рис. 10.12. Дешифрация адресов с использованием в первом каскаде разрешающих входов

Если использовать только два дешифратора DC 0 и DC 1, то можно получить дешифратор на 16 выходов. При этом адресный вход а 16 будет отсутствовать, а нижние (по схеме) разрешающие входы дешифраторов DC 0 и DC 1 должны быть заземлены.

Схема дешифратора 155ИД4 представлена на рис. 10.13. В нее входят два дешифратора 2–4. Каждый дешифратор имеет пару разрешающих входов. Один разрешающий вход одной из секций инвертирован. Это позволяет, объединив его с неинвертированным разрешающим входом другой секции и подав на эту пару третью переменную а 4 , использовать ту же самую схему как дешифратор 3–8 с разрешающим входом Е . Кроме того, эта микросхема может быть использована как два демультиплексора с 1 входа на 4 выхода и как демультиплексор с одной линии на 8 выходов.

Рис. 10.13. Схема дешифратора 155ИД4.

Рис. 10.14. Варианты подключения дешифратора 155ИД1

На рис. 10.14 показана возможность использования микросхемы 155ИД1 в качеств дешифратора 4–10 или 3–8. В представленной схеме при использовании всех четырех входов в качестве адресных микросхема представляет дешифратор 4–10. Если вход 8 использовать в качестве разрешающего входа, тогда микросхема будет служить дешифратором 3–8. Выходы 8 и 9 при этом не используются.

Дешифраторы могут применяться в качестве демультиплексора входных сигналов, а совместно с шифратором находят применение при построении преобразователей кодов, селектировании заданных входных кодов и др. . Для реализации подобных устройств могут быть использованы программируемые логические матрицы или программируемые логические интегральные схемы (ПЛМ или ПЛИС) .

Дешифраторы – цифровые устройства функционального назначения, предназначенные для распознавания двоичных кодов.

Двоичные дешифраторы являясь преобразователем кодов, преобразует двоичный код прямого назначения в код “1 из N». В такой кодовой комбинации только один разряд занят единицей, а все остальные – нулевые. Таблица истинности для дешифратора, предназначенного для распознавания четырехразрядного двоичного кода представлена табл. 2.1

Таблица 2. 1

Из таблицы 1 видно, что в зависимости от входного двоичного кода на выходе дешифратора в возбужденном состоянии находится только один из его выходов. Из этой же таблицы следует, что двоичный дешифратор на n входов должен иметь 2 n выходов, соответствующих числу кодовых комбинаций n-разрядного двоичного кода. Такой дешифратор называется полным , в отличие от неполного , у которого часть входных кодовых комбинаций не используется, а число выходов у которого меньше2 n .

В основном поле условного обозначения дешифраторов (Рис.2.5) проставляются буквы DC (от английского слова Decoder). Входы дешифратора принято обозначать их двоичными весами. Кроме информационных входов дешифратор имеет обычно один или более входов разрешения работы, обозначаемых как Е (Enable). При наличии разрешающего сигнала на этом входе дешифратор работает в соответствии с таблицей истинности, при его отсутствии все выходы дешифратора пассивны.

Функционирование дешифратора описывается системой булевых выражений:

С
хемотехническое решение дешифраторов представлено на рис.2.6.

Как видно из рис. 2.6., дешифратор состоит из 2n инверторов входного кода, образующих прямые и инверсные значения переменных входного кода, двух инверторов на входе разрешения и 2 n -1 конъюнкторов, образующих выходы схемы. Малоразрядность серийных дешифраторов ставит вопрос и наращивании их разряд-ности. Из малоразрядных дешифраторов можно построить схему, эквивалентную дешифратору большой разрядности. С этой целью входное слово делится на поля, при этом разрядность поля младших разрядов соответствует разрядности имеющихся дешифраторов. Оставшееся поле старших разрядов служит для получения сигнала разрешения работы одного из дешифраторов, декодирующих поле младших разрядов.

В
качестве примера на рис. 2.7 приведена схема дешифрации пятиразрядного кода с помощью дешифраторов «3-8» и «2-4». Для получения нужных 32 выходов составляется столбец второго яруса из четырех дешифраторов «3-8»DC1-DC4. Дешифратор «2-4» принимает два старших разряда входного кода. Возбужденный выход этого дешифратора открывает по входу разрешения один из дешифраторов столбца и выбранный дешифратор декодирует младшие разряды входного слова. Каждому входному слову соответствует возбуждение одного из выходов F 0 -F 31 . Например, при дешифрации слова х 4 х 3 х 2 х 1 х 0 =11001 2 =25 10 на входе дешифратора первого яруса имеется код 11, возбуждающий его выход номер три (помечен крестиком), что разрешает работу дешифратора DC4. На входе DC4 действует код 001, поэтому будет возбужден его первый выход, т.е. 25 выход схемы. Общее разрешение или запрещение работы схемы осуществляется по входу Е дешифратора первого яруса.

Наряду с применением дешифраторов по своему прямому назначению они могут использоваться для реализации произвольных логических функций, поскольку на выходах дешифратора вырабатываются все конъюктивные термы, которые можно составить из данного числа аргументов. Логическая функция в СДНФ есть дизъюнкция некоторого числа таких термов. Объединяя их по схеме ИЛИ, можно получить любую функцию данного числа аргументов.

На рис.2.8 в качестве примера показана аппаратная реализация функции сумматора по модулю два.

Логические устройства разделяют на два класса: комбинационные и последовательностные.

Устройство называют комбинационным , если его выходные сигналы в некоторый момент времени однозначно определяются входными сигналами, имеющими место в этот момент времени.

Иначе устройство называют последовательностным или конечным автоматом (цифровым автоматом, автоматом с памятью). В последовательностных устройствах обязательно имеются элементы памяти. Состояние этих элементов зависит от предыстории поступления входных сигналов. Выходные сигналы последовательностных устройств определяются не только сигналами, имеющимися на входах в данный момент времени, но и состоянием элементов памяти. Таким образом, реакция последовательностного устройства на определенные входные сигналы зависит от предыстории его работы.

Среди как комбинационных, так и последовательностных устройств выделяются типовые, наиболее широко используемые на практике.

Шифраторы

Шифратор - это комбинационное устройство, преобразующее десятичные числа в двоичную систему счисления, причем каждому входу может быть поставлено в соответствие десятичное число, а набор выходных логических сигналов соответствует определенному двоичному коду. Шифратор иногда называют «кодером» (от англ. coder) и используют, например, для перевода десятичных чисел, набранных на клавиатуре кнопочного пульта управления, в двоичные числа.

Если количество входов настолько велико, что в шифраторе используются все возможные комбинации сигналов на выходе, то такой шифратор называется полным, если не все, то неполным. Число входов и выходов в полном шифраторе связано соотношением n= 2 m , где n- число входов, m- число выходов.

Так, для преобразования кода кнопочного пульта в четырехразрядное двоичное число достаточно использовать лишь 10 входов, в то время как полное число возможных входов будет равно 16 (n = 2 4 = 16), поэтому шифратор 10×4 (из 10 в 4) будет неполным.

Рассмотрим пример построения шифратора для преобразования десятиразрядного единичного кода (десятичных чисел от 0 до 9) в двоичный код. При этом предполагается, что сигнал, соответствующий логической единице, в каждый момент времени подается только на один вход. Условное обозначение такого шифратора и таблица соответствия кода приведены на рис. 3.35.

Используя данную таблицу соответствия, запишем логические выражения, включая в логическую сумму те входные переменные, которые соответствуют единице некоторой выходной пере­менной. Так, на выходе у 1 будет логическая «1» тогда, когда логическая «1» будет или на входе Х 1 ,или Х 3 , или Х 5 , или Х 7 , или X 9 , т. е. у 1 = Х 1 + Х 3 + Х 5 + Х 7 +X 9

Аналогично получаем у 2 = Х 2 + Х 3 + Х 6 + X 7 у 3 = Х 4 + Х 5 + Х 6 + Х 7 у 4 = Х 8 + X 9

Представим на рис. 3.36 схему такого шифратора, используя элементы ИЛИ.
На практике часто используют шифратор с приоритетом. В таких шифраторах код двоичного числа соответствует наивысшему номеру входа, на который подан сигнал «1», т. е. на приоритетный шифратор допускается подавать сигналы на несколько входов, а он выставляет на выходе код числа, соответствующего старшему входу.

Рассмотрим в качестве примера (рис. 3.37) шифратор с приоритетом (приоритетный шифратор) К555ИВЗ серии микросхем К555 (ТТЛШ).

Шифратор имеет 9 инверсных входов, обозначенных через PR l , …, PR 9 . Аббревиатура PR обозначает «приоритет». Шифратор имеет четыре инверсных выхода B l , …, B 8 . Аббревиатура B означает «шина» (от англ. bus). Цифры определяют значение активного уровня (нуля) в соответствующем разряде двоичного числа. Например, B 8 обозначает, что ноль на этом выходе соответствует числу 8. Очевидно, что это неполный шифратор.

Если на всех входах - логическая единица, то на всех выходах также логическая единица, что соответствует числу 0 в так называемом инверсном коде (1111). Если хотя бы на одном входе имеется логический ноль, то состояние выходных сигналов определяется наибольшим номером входа, на котором имеется логический ноль, и не зависит от сигналов на входах, имеющих меньший номер.

Например, если на входе PR 1 - логический ноль, а на всех остальных входах - логическая единица, то на выходах имеются следующие сигналы: В 1 − 0, В 2 − 1, В 4 − 1, В 8 − 1, что соответствует числу 1 в инверсном коде (1110).

Если на входе PR 9 логический ноль, то независимо от других входных сигналов на выходах имеются следующие сигналы: В 1 − 0 , В 2 − 1 , В 4 − 1, В 8 − 0, что соответствует числу 9 в инверсном коде (0110).

Основное назначение шифратора - преобразование номера источника сигнала в код (например, номера нажатой кнопки некоторой клавиатуры).


Дешифраторы

Называется комбинационное устройство , преобразующее n-разрядный двоичный код в логический сигнал, появляющийся на том выходе, десятичный номер которого соответствует двоичному коду. Число входов и выходов в так называемом полном дешифраторе связано соотношением m= 2 n , где n- число входов, а m- число выходов. Если в работе дешифратора используется неполное число выходов, то такой дешифратор называется неполным. Так, например, дешифратор, имеющий 4 входа и 16 выходов, будет полным, а если бы выходов было только 10, то он являлся бы неполным.

Обратимся для примера к дешифратору К555ИД6 серии К555 (рис. 3.38).


Дешифратор имеет 4 прямых входа, обозначенных через А 1 , …, А 8 . Аббревиатура A обозначает «адрес» (от англ.address). Указанные входы называют адресными. Цифры определяют значения активного уровня (единицы) в соответствующем разряде двоичного числа. Дешифратор имеет 10 инверсных выходов Y 0 , …, Y 9 . Цифры определяют десятичное число, соответствующее заданному двоичному числу на входах. Очевидно, что этот дешифратор неполный.

Значение активного уровня (нуля) имеет тот выход, номер которого равен десятичному числу, определяемому двоичным числом на входе. Например, если на всех входах - логические нули, то на выходе Y 0 - логический ноль, а на остальных выходах - логическая единица. Если на входе А 2 - логическая единица, а на остальных входах - логический ноль, то на выходе Y 2 - логический ноль, а на остальных выходах - логическая единица. Если на входе - двоичное число, превышающее 9 (например, на всех входах единицы, что соответствует двоичному числу 1111 и десятичному числу 15), то на всех выходах - логическая единица.

Дешифратор - одно из широко используемых логических устройств. Его применяют для построения различных комбинационных устройств.

Рассмотренные шифраторы и дешифраторы являются примерами простейших преобразователей кодов.

Преобразователи кодов

В общем случае, называют устройства, предназначенные для преобразования одного кода в другой, при этом часто они выполняют нестандартные преобразования кодов. Преобразователи кодов обозначают через X/Y.

Рассмотрим особенности реализации преобразователя на примере преобразователя трехэлементного кода в пятиэлементный. Допустим, что необходимо реализовать таблицу соответствия кодов, приведенную на рис. 3.39.



Здесь через N обозначено десятичное число, соответствующее входному двоичному коду. Преобразователи кодов часто создают по схеме дешифратор - шифратор. Дешифратор преобразует входной код в некоторое десятичное число, а затем шифратор формирует выходной код. Схема преобразователя, созданного по такому принципу, приведена на рис. 3.40, где использован матричный диодный шифратор. Принцип работы такого преобразователя довольно прост. Например, когда на всех входах дешифратора логический «О», то на его выходе 0 появляется логическая «1», что приводит к появлению «1» на выходах у 4 и у 5 , т. е. реализуется первая строка таблицы соответствия кодов.


Промышленность выпускает большое число шифраторов, дешифраторов и преобразователей кодов, таких как дешифратор 4×16 со стробированием (К555ИДЗ), преобразователь кода для управления светодиодной матрицей 7×5 (К155ИД8), преобразователь кода для управления шкальным индикатором (К155ИД15) и др.

Статьи по теме